歡迎您光臨本站 登入註冊首頁

Libero 軟體的使用

admin @ 2014-03-26 , reply:0

概述

第1章License設置   大家好!今天由我來給大家介紹一下Libero軟體的快速入門。   Libero軟體是ActelFPGA的開發環……

第1章 License 設置
    大家好!今天由我來給大家介紹一下Libero 軟體的快速入門。
    Libero 軟體是Actel FPGA 的開發環境,它支持Actel 公司所有的FPGA 晶元。在安裝完Libero 軟體后,要設置license 才能夠正常的使用Libero 軟體。license 文件的申請途徑有兩種:一是登錄Actel 公司的官方網站(www.Actel.com)去申請;另一種是發送郵件到周立功公司當地的FAE,讓他幫您去申請。我們的license 文件是完全免費的,它的使用期限是一年,一年後您可以重新申請新的license。
    接下來,我就給大家演示一下怎樣設置license。首先,在C 盤Actel 文件夾下新建一個名為license 的文件夾,將您所申請到的license 文件放入到該文件夾下;然後,在桌面我的電腦圖標上單擊右鍵選擇屬性,在高級選項里找到環境變數,在用戶變數里,選擇新建按鈕,在變數名中輸入LM_LICENSE_FILE,在變數值中輸入license 文件保存的路徑。在這裡要注意:一定要確定變數名和變數值的正確性,否則Libero 軟體將無法正常使用。點擊確定按鈕,好了,license 文件已經設置完成。

第2章 Libero 軟體的使用
    下面我將用一個具體的常式來給大家演示一下Libero 軟體的使用流程。在桌面雙擊Libero 8.3 的圖標,進入到軟體的使用界面。
2.1 新建工程
1. 建立工程
    單擊軟體左上角的Project 菜單,選擇New Project 選項,會彈出一個如圖所示的窗口,在Project name 中輸入工程名led,Project Location 是存放工程的位置,點擊Browse 按鈕可以改變工程存放的位置,下面的兩個選項是選擇編程的語言,這裡我們選擇Verilog,當所有設置都完成後,點擊Next。
2. 選擇器件
    點擊Family 的下拉按鈕,選擇FPGA 的系列,這裡我們選用ProASIC3 系列,器件類型選擇A3P030,封裝採用VQFP100,點擊Next。
3. 選擇工具
    在這裡可以修改不同階段使用的工具,點擊相應的工具,然後通過Add、Edit 或Remove來修改,若顯示問號表示沒有該軟體。這裡我們點擊Next。
4. 添加文件
    可以在文件夾中添加相應類型的文件,由於工程是新建的,不存在有編輯好的文件,所以我們直接點擊 Next。
5. 完成
    查看您剛才所建工程的信息,確認無誤後點擊“Finish”,這樣,該工程就建立好了。

2.2 設計輸入
    設計輸入有多種方式,這裡我們採用最常用的輸入方法——HDL 語言生成;點擊HDLEditor 進行語言編輯,在name 里輸入文件名led,最好和工程名相同。點擊OK 按鈕,進入語言編輯區。
    打開實驗教程把快速入門程序複製過來。點擊Libero 軟體左上角的保存按鈕,保存后我們可以在work 目錄下看到led.v 文件。單擊右鍵,選擇Check HDL file,檢查語法錯誤。從信息窗口中可以看到沒有語法錯誤。這裡要注意的是,計數器必須要初始化,否則模擬的時候沒有波形。該程序完成流水燈的功能。

2.3 生成測試文件
    生成測試文件有兩種方式,一是用語言編寫測試文件;二是通過波形生成測試文件,這裡採用第二種方式。點擊 WaveFormer,右擊clk_48M 信號,選擇該引腳為時鐘引腳。雙擊clk_48M,點擊Clock Properties 修改時鐘的頻率為48M,點擊OK。下面我們設置複位引腳,我們的程序是低電平複位,所以我們設置一段時間的低電平。設置完複位以後,我們要設置斷點,到這個時刻模擬自動結束。點擊菜單欄上的Marker按鈕,將該項置為有效。我們選擇300ms;在300ms 處,我們先左擊后右擊出現Marker0,雙擊Marker0,選擇Type 下拉菜單中的End Diagram,點擊OK。這樣斷點設置完畢。下面點擊左上角的保存按鈕,保存測試激勵文件。在彈出的保存界面中,我們選擇保存類型為最後一項。這樣,我們就完成了測試激勵文件的生成。關閉WaveFormer。彈出的界面提示是否保存波形圖形,我們選擇Save all,在彈出的波形保存格式中,我們選擇默認,點擊保存。這樣,我們的測試文件就建立好了。
    下面就是添加測試文件進行模擬,右擊Stimulus Editor, 選擇Organize Stimulus,在彈出的界面中,把該測試文件導入,點擊OK,這樣就添加完激勵。添加完激勵以後Stimulus Editor和WaveFormer 變為綠色。

2.4 前模擬
    點擊ModelSim 進入模擬界面,點擊新窗口查看波形。輸入需要模擬的時間,這裡我們輸入100ms,點擊全速運行,由於模擬時間設置過長,我們也可自行終止模擬。點擊深藍色的查看圖標,我們可以全屏查看波形,通過觀察,我們發現輸出是移位變化的,這說明功能是正確的。功能被驗證正確以後,我們關閉ModelSim。

2.5 綜合
    功能模擬是正確的時候,下面要進行的是綜合,點擊Synthesis,Libero 軟體將會調用Synplify 軟體進行綜合。
    在綜合的界面彈出時,點擊“RUN”按鈕開始綜合,如果在綜合過程中出現錯誤,則可點擊界面下方“View Log”查看錯誤的報告。綜合之後發現0 個錯誤0 個警告,說明綜合是正確的,關閉 Synplify。

2.6 綜合后模擬
    綜合后我們可以再次通過 ModelSim 進行綜合后模擬,看功能是否仍符合要求。單擊ModelSim,模擬激勵會被自動載入,這裡操作和功能模擬差不多,在這我就不再贅述。

2.7 布局布線
    完成綜合后模擬之後,我們就可以進行布局布線。點擊Place&Route。9s,當第一次進入Designer 界面時需要進行一些設置的,一般情況我們將速度等級、參考電壓等設為默認,點擊下一步;電平標準設為LVTTL,點擊下一步;在選擇晶元的等級時,我們選擇商業級,點擊完成,進入Designer 的主界面。
    Designer 的主界面主要包括:編譯、引腳分配、布局布線、生成下載文件;還有一些關於功耗分析、時鐘約束和分析的工具。點擊Compile,在彈出的界面中點擊OK。當編譯的圖標變為綠色的時候,表示編譯通過。編譯結束以後,點擊Tools,選擇reports—>status;導出status report。在這裡,我們可以看到編譯報告。點擊I/O Attribute Editor 進行引腳分配。對照引腳分配說明分配好引腳。在信
息欄中,可以看到引腳分配沒有錯誤,關閉引腳分配。點擊Layout,在彈出的界面點擊OK,當Layout 變綠的時候,表明布局布線正確。點擊Back-Annotate 生成反標註文件,反標註文件主要是標註FPGA 廠家器件的延時信息。在彈出的界面中點擊OK,當Back-Annotate 變綠后反標註文件生成。點擊Programming File 生成下載文件。在彈出的界面中,security settings 可以對晶元和文件進行加密。點擊FlashROM 的話,我們可以導入下載到FlashROM 的文件。這裡兩項都不選擇,點擊Finish,在彈出的界面中點擊Generate 生成下載文件。完成我們可以發現Programming File 圖標變綠。點擊Timing analyzer 查看時序分析報告;在分析報告裡面有系統所能跑的最大時鐘頻率和最小時鐘頻率等信息。關閉Timing analyzer。點擊保存按鈕,關閉designer.

2.8 下載文件
    在剛才我們設置了反標註文件,這樣我們就可以布局布線后模擬了,該模擬和綜合前模擬是一樣的,這裡就不再驗證。點擊FlashPro 進行下載,選擇tool,在下拉選項中選擇“Connect Parallel port cable”查看並口信息。在彈出的界面中我們選擇默認選項,點擊OK 即可。點擊“Refresh for Programmers”查找並口,發現並口已經存在。點擊programmer進行下載,由於我們的FPGA 是FLASH 架構的,所以下載時間要長一點,當Programmer Status 欄提示信息是Run passed,說明下載完畢,這時可以在開發板上看到LED 在循環移位點亮,說明該程序完成了設計的功能。

    這就是整個Libero 軟體的使用流程,謝謝大家!


[admin via 研發互助社區 ] Libero 軟體的使用已經有6185次圍觀

http://cocdig.com/docs/show-post-42978.html